Search concepts
|
Selected filters
|
- 82,150 - 82,200 out of 98,009 results
Search results
-
Conference contribution
5.8 GHz vital signal sensing Doppler radar using isolation-improved branch-line coupler
Kim, S. G., Kim, H., Lee, Y., Kho, I. S. & Yook, J. G., 2006 Jan 1, Proceedings of the 3rd European Radar Conference, EuRAD 2006. IEEE Computer Society, p. 249-252 4 p. 4058305. (Proceedings of the 3rd European Radar Conference, EuRAD 2006).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
17 Citations (Scopus) -
5-6 GHz SPDT switchable balun using CMOS transistors
Min, B. W. & Rebeizt, G. M., 2008, Proceedings of the 2008 IEEE Radio Frequency Integrated Circuits Symposium, RFIC 2008. p. 321-324 4 p. 4561445. (Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
7 Citations (Scopus) -
5-ALA induced fluorescent image analysis of actinic keratosis
Cho, Y. J., Bae, Y., Choi, E. H. & Jung, B., 2010, Photonic Therapeutics and Diagnostics VI. 75480J. (Progress in Biomedical Optics and Imaging - Proceedings of SPIE; vol. 7548).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
5 GHz band LTCC antenna for WMAN applications
Kim, J. S., Wi, S. H., Kim, J. C. & Yook, J. G., 2005, 2005 IEEE Antennas and Propagation Society International Symposium and USNC/URSI Meeting, Digest. p. 385-388 4 p. 1551572. (IEEE Antennas and Propagation Society, AP-S International Symposium (Digest); vol. 1 B).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
3 Citations (Scopus) -
60GHz CMOS-APD optoelectronic mixers with optimized conversion efficiency
Kim, J. Y., Lee, M. J. & Choi, W. Y., 2010, Proceedings - 2010 IEEE International Topical Meeting on Microwave Photonics, MWP 2010. p. 139-142 4 p. 5664195. (Proceedings - 2010 IEEE International Topical Meeting on Microwave Photonics, MWP 2010).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
3 Citations (Scopus) -
60GHz radio-on-fiber downlink systems using optically injection-locked self-oscillating optoelectronic mixers based on InP/InGaAs HPTs
Kim, J. Y., Seo, J. H., Choi, W. Y., Kamitsuna, H., Ida, M. & Kurishima, K., 2006, Proceedings - Thirteenth International Symposium on Temporal Representation and Reasoning, TIME 2006. 1636510. (2006 Optical Fiber Communication Conference, and the 2006 National Fiber Optic Engineers Conference; vol. 2006).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
7 Citations (Scopus) -
60-GHz voltage-controlled oscillator and frequency divider in 0.25-μm SiGe BiCMOS technology
Lee, J. M., Choi, W. Y. & Rucker, H., 2012, ISOCC 2012 - 2012 International SoC Design Conference. p. 65-67 3 p. 6406991. (ISOCC 2012 - 2012 International SoC Design Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Citations (Scopus) -
622-Mb/s downlink transmission in a fiber-fed 60-GHz wireless system using a CMOS integrated optical receiver
Lee, M. J., Youn, J. S., Kang, H. S., Kim, D., Ko, M., Park, K. Y. & Choi, W. Y., 2009, MWP09 - 2009 International Topical Meeting on Microwave Photonics. 5342699. (MWP09 - 2009 International Topical Meeting on Microwave Photonics).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
69.4: Novel flexible reflective color media integrated with transparent oxide TFT backplane
Yeo, J. S., Emery, T., Combs, G., Korthuis, V., Mabeck, J., Hoffman, R., Koch, T., Zhou, Z. L. & Henze, D., 2010, 48th Annual SID Symposium, Seminar, and Exhibition 2010, Display Week 2010. p. 1041-1044 4 p. (48th Annual SID Symposium, Seminar, and Exhibition 2010, Display Week 2010; vol. 2).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
15 Citations (Scopus) -
6LoWPAN-SNMP: Simple network management protocol for 6LoWPAN
Choi, H., Kim, N. & Cha, H., 2009, 2009 11th IEEE International Conference on High Performance Computing and Communications, HPCC 2009. p. 305-313 9 p. 5167008. (2009 11th IEEE International Conference on High Performance Computing and Communications, HPCC 2009).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
21 Citations (Scopus) -
7.7Gbps encoder design for IEEE 802.11n/ac QC-LDPC codes
Jung, Y., Chung, C., Kim, J. & Jung, Y., 2012, ISOCC 2012 - 2012 International SoC Design Conference. p. 215-218 4 p. 6407078. (ISOCC 2012 - 2012 International SoC Design Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
12 Citations (Scopus) -
8 An Output-Bandwidth-Optimized 200Gb/s PAM-4 100Gb/s NRZ Transmitter with 5-Tap FFE in 28nm CMOS
Choi, M., Wang, Z., Lee, K., Park, K., Liu, Z., Biswas, A., Han, J. & Alon, E., 2021 Feb 13, 2021 IEEE International Solid-State Circuits Conference, ISSCC 2021 - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., p. 128-130 3 p. 9366012. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 64).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
15 Citations (Scopus) -
A/D converter using iterative divide-by-two reference for CMOS image sensor
Jeonghwan, L. & Gunhee, H., 2008, 2008 International SoC Design Conference, ISOCC 2008. p. III35-III36 4815737. (2008 International SoC Design Conference, ISOCC 2008; vol. 3).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 0.02mm2 100dB-DR Impedance Monitoring IC with PWM-Dual GRO Architecture
Han, H., Choi, W. & Chae, Y., 2019 Jun, 2019 Symposium on VLSI Circuits, VLSI Circuits 2019 - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., p. C60-C61 8778126. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers; vol. 2019-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
5 Citations (Scopus) -
A 0.02mm2 embedded temperature sensor with ±2°C inaccuracy for self-refresh control in 25nm mobile DRAM
Kim, Y., Choi, W., Kim, J., Lee, S., Kim, H., Makinwa, K. A. A., Chae, Y., Kim, T. W. & Lee, S., 2015 Oct 30, ESSCIRC 2015 - Proceedings of the 41st European Solid-State Circuits Conference. Dielacher, F., Pribyl, W. & Hueber, G. (eds.). IEEE Computer Society, p. 267-270 4 p. 7313878. (European Solid-State Circuits Conference; vol. 2015-October).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
12 Citations (Scopus) -
A 0.033-mm221.5-AF Resolution Continuous-Time Delta-Sigma Capacitance-To-Digital Converter with Parasitic Capacitance Immunity up to 480pF
Lee, H., Lee, C., Lee, J. Y., Choi, Y. K. & Chae, Y., 2021, Proceedings - A-SSCC 2021: IEEE Asian Solid-State Circuits Conference. Institute of Electrical and Electronics Engineers Inc., (Proceedings - A-SSCC 2021: IEEE Asian Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 0.1pJ/b/dB 1.62-to-10.8Gb/s Video Interface Receiver with Fully Adaptive Equalization Using Un-Even Data Level
Lee, J., Lee, K., Kim, H., Kim, B., Park, K. & Jeong, D. K., 2019 Jun, 2019 Symposium on VLSI Circuits, VLSI Circuits 2019 - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., p. C198-C199 8778084. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers; vol. 2019-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
6 Citations (Scopus) -
A 0.35-μm CMOS low noise VGA
Chung, K., Han, G. & Kang, S., 2000, Proceedings of the 2nd IEEE Asia Pacific Conference on ASICs, AP-ASIC 2000. Institute of Electrical and Electronics Engineers Inc., p. 5-8 4 p. 896894. (Proceedings of the 2nd IEEE Asia Pacific Conference on ASICs, AP-ASIC 2000).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 0.36 pJ/bit, 12.5 Gb/s forwarded-clock receiver with a sample swapping scheme and a half-bit delay line
Bae, W., Jeong, G. S., Park, K., Cho, S. Y., Kim, Y. & Jeong, D. K., 2014 Oct 31, ESSCIRC 2014 - Proceedings of the 40th European Solid-State Circuit Conference. Andreani, P., Bevilacqua, A. & Meneghesso, G. (eds.). IEEE Computer Society, p. 447-450 4 p. 6942118. (European Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 0.4-V, 500-MHz, ultra-low-power phase-locked loop for near-threshold voltage operation
Moon, J. W., Kim, S. G., Kwon, D. H. & Choi, W. Y., 2014 Nov 4, Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, CICC 2014. Institute of Electrical and Electronics Engineers Inc., 6946100. (Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, CICC 2014).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
11 Citations (Scopus) -
A 0.53pJK2 7000μm2 resistor-based temperature sensor with an inaccuracy of ±0.35°C (3σ) in 65nm CMOS
Choi, W., Lee, Y. T., Kim, S., Lee, S., Jang, J., Chun, J., Makinwa, K. A. A. & Chae, Y., 2018 Mar 8, 2018 IEEE International Solid-State Circuits Conference, ISSCC 2018. Institute of Electrical and Electronics Engineers Inc., p. 322-324 3 p. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 61).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
15 Citations (Scopus) -
A 0.5V 20fJ/conversion-step rail-to-rail SAR ADC with programmable time-delayed control units for low-power biomedical application
Chang, S. I., Al-Ashmouny, K. & Yoon, E., 2011, ESSCIRC 2011 - Proceedings of the 37th European Solid-State Circuits Conference. p. 339-342 4 p. 6044976. (European Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
3 Citations (Scopus) -
A 0.67nJ/S time-domain temperature sensor for low power on-chip thermal management
An, Y. J., Ryu, K., Jung, D. H., Woo, S. H. & Jung, S. O., 2013, 2013 IEEE International Conference on Consumer Electronics, ICCE 2013. p. 572-573 2 p. 6487022. (Digest of Technical Papers - IEEE International Conference on Consumer Electronics).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 0.6V 86.5dB-DR 40kHz-BW Inverter-Based Continuous-Time Delta-Sigma Modulator with PVT-Robust Body-Biasing Technique
Lee, S., Park, S., Kim, Y. & Chae, Y., 2021 Jun 13, 2021 Symposium on VLSI Circuits, VLSI Circuits 2021. Institute of Electrical and Electronics Engineers Inc., 9492519. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers; vol. 2021-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Citations (Scopus) -
A 0.75V CMOS image sensor using time-based readout circuit
Cho, K., Lee, D., Lee, J. & Han, G., 2009, 2009 Symposium on VLSI Circuits. p. 178-179 2 p. 5205395. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
6 Citations (Scopus) -
A 0.7e-rms-temporal-readout-noise CMOS image sensor for low-light-level imaging
Chen, Y., Xu, Y., Chae, Y., Mierop, A., Wang, X. & Theuwissen, A., 2012, 2012 IEEE International Solid-State Circuits Conference, ISSCC 2012 - Digest of Technical Papers. p. 384-385 2 p. 6177059. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 55).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
67 Citations (Scopus) -
A 0.7V 36μW 85dB-DR audio ΔΣ modulator using class-C inverter
Chae, Y., Lee, I. & Han, G., 2008, 2008 IEEE International Solid State Circuits Conference - Digest of Technical Papers, ISSCC. Institute of Electrical and Electronics Engineers Inc., p. 490-492 3 p. 4523271. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 51).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
51 Citations (Scopus) -
A 0.85V 600nW all-CMOS temperature sensor with an inaccuracy of ±0.4°C (3s) from -40 to 125°C
Souri, K., Chae, Y., Thus, F. & Makinwa, K., 2014, 2014 IEEE International Solid-State Circuits Conference, ISSCC 2014 - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., p. 222-223 2 p. 6757409. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 57).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
81 Citations (Scopus) -
A 0.9m Long 0.5gf Resolution Catheter-based Force Sensor for Real-Time Force Monitoring of Cardiovascular Surgery
Jeon, S., Lee, J., Ryu, W. & Chae, Y., 2018 Oct 26, 40th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC 2018. Institute of Electrical and Electronics Engineers Inc., p. 3338-3341 4 p. 8512951. (Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS; vol. 2018-July).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 1.25-Gb/s digitally-controlled dual-loop clock and data recovery circuit with enhanced phase resolution
Seong, C. K., Lee, S. W. & Choi, W. Y., 2006, ISCAS 2006: 2006 IEEE International Symposium on Circuits and Systems, Proceedings. p. 2113-2116 4 p. 1693034. (Proceedings - IEEE International Symposium on Circuits and Systems).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
3 Citations (Scopus) -
A 1.2V 5.2mW 40dB 2.5Gb/s limiting amplifier in 0.18μm CMOS using negative-impedance compensation
Yoo, K., Lee, D., Han, G., Park, S. M. & Oh, W. S., 2007, 2007 IEEE International Solid-State Circuits Conference, ISSCC - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., p. 56-57 2 p. 4242262. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
12 Citations (Scopus) -
A 1.2V 68μW 98.2DB-DR Audio Continuous-Time Delta-Sigma Modulator
Lee, C., Jang, M. H. & Chae, Y., 2018 Oct 22, 2018 IEEE Symposium on VLSI Circuits, VLSI Circuits 2018. Institute of Electrical and Electronics Engineers Inc., p. 199-200 2 p. 8502318. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers; vol. 2018-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
12 Citations (Scopus) -
A 1.2V 8.3nJ energy-efficient CMOS humidity sensor for RFID applications
Tan, Z., Chae, Y., Daamen, R., Humbert, A., Ponomarev, Y. V. & Pertijs, M. A. P., 2012, 2012 Symposium on VLSI Circuits, VLSIC 2012. p. 24-25 2 p. 6243771. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
23 Citations (Scopus) -
A 1.35 m Long 0.18 gf Resolution Differential Capacitive Force Sensor for Contact Force Monitoring
Jeon, S., Lee, J., Ryu, W. & Chae, Y., 2019 Feb 22, Proceedings of TENCON 2018 - 2018 IEEE Region 10 Conference. Institute of Electrical and Electronics Engineers Inc., p. 889-892 4 p. 8650386. (IEEE Region 10 Annual International Conference, Proceedings/TENCON; vol. 2018-October).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 1.36μW adaptive CMOS image sensor with reconfigurable modes of operation from available energy/illumination for distributed wireless sensor network
Choi, J., Park, S., Cho, J. & Yoon, E., 2012, 2012 IEEE International Solid-State Circuits Conference, ISSCC 2012 - Digest of Technical Papers. p. 112-113 2 p. 6176897. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 55).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
11 Citations (Scopus) -
A 1.5V 120nW CMOS programmable monolithic reference generator for wireless implantable system
Chang, S. I., Alashmouny, K. & Yoon, E., 2011, 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS 2011. p. 2981-2984 4 p. 6090818. (Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
4 Citations (Scopus) -
A 1.5V mixed signal biomedical SoC for implantable cardioverter defibrillators
Kim, K., Cho, U., Lim, S., Chae, Y., Jung, Y., Han, G. & Kim, J., 2007, Proceedings - 20th Anniversary IEEE International SOC Conference. p. 7-10 4 p. 4545414. (Proceedings - 20th Anniversary IEEE International SOC Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 1.8V 11μW CMOS smart humidity sensor for RFID sensing applications
Tan, Z., Daamen, R., Humbert, A., Souri, K., Chae, Y., Ponomarev, Y. V. & Pertijs, M. A. P., 2011, 2011 Proceedings of Technical Papers: IEEE Asian Solid-State Circuits Conference 2011, A-SSCC 2011. p. 105-108 4 p. 6123615. (2011 Proceedings of Technical Papers: IEEE Asian Solid-State Circuits Conference 2011, A-SSCC 2011).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
32 Citations (Scopus) -
A 1.9mm-precision 20GS/S real-time sampling receiver using time-extension method for indoor localization
Han, H. G., Yu, B. G. & Kim, T. W., 2015 Mar 17, 2015 IEEE International Solid-State Circuits Conference, ISSCC 2015 - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., p. 352-353 2 p. 7063071. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 58).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
16 Citations (Scopus) -
A 100mW dual-band CMOS mobile-TV tuner IC for T-DMB/DAB and ISDB-T
Kim, B., Kim, T. W., Cho, Y., Jeong, M. S., Kim, S., Yoo, H., Moon, S. M., Lee, T. J., Lim, J. K. & Kim, B., 2006, 2006 IEEE International Solid-State Circuits Conference, ISSCC - Digest of Technical Papers. p. 614+605 1696318. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
12 Citations (Scopus) -
A 103.8-dB DR 25ps-to-35ns Resolution Time-to-Digital Converter with Dynamic Ring Oscillator for LiDAR Applications
Kim, T., Lee, S. & Chae, Y., 2022, 2022 IEEE Asian Solid-State Circuits Conference, A-SSCC 2022 - Proceedings. Institute of Electrical and Electronics Engineers Inc., (2022 IEEE Asian Solid-State Circuits Conference, A-SSCC 2022 - Proceedings).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 10 Gb/s hybrid PLL-based forwarded clock receiver in 65-nm CMOS
Park, K., Bae, W., Ju, H., Lee, J., Jeong, G. S., Kim, Y. & Jeong, D. K., 2015 Jul 27, 2015 IEEE International Symposium on Circuits and Systems, ISCAS 2015. Institute of Electrical and Electronics Engineers Inc., p. 2389-2392 4 p. 7169165. (Proceedings - IEEE International Symposium on Circuits and Systems; vol. 2015-July).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
3 Citations (Scopus) -
A 10-Gb/s power and area efficient clock and data recovery circuit in 65-nm CMOS technology
Rhim, J., Choi, K. C. & Choi, W. Y., 2012, ISOCC 2012 - 2012 International SoC Design Conference. p. 104-107 4 p. 6407050. (ISOCC 2012 - 2012 International SoC Design Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Citations (Scopus) -
A 12,800-well single-cell-derived sphere assay chip utilizing highly-parallel microfluidic structures for cancer heterogeneity studies
Cheng, Y. H., Chen, Y. C., Brien, R. & Yoon, E., 2016, 20th International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2016. Chemical and Biological Microsystems Society, p. 238-239 2 p. (20th International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2016).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 12.5-Gb/s sige BiCMOS optical receiver with a monolithically integrated 850-nm avalanche photodetector
Youn, J. S., Lee, M. J., Park, K. Y., Rücker, H. & Choi, W. Y., 2012, Optical Fiber Communication Conference, OFC 2012. (Optics InfoBase Conference Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 134μW 24kHz-BW 103.5d8-DR CT ΔΣ Modulator with Chopped Negative-R and Tri-Level FIR DAC
Jang, M., Lee, C. & Chae, Y., 2020 Feb, 2020 IEEE International Solid-State Circuits Conference, ISSCC 2020. Institute of Electrical and Electronics Engineers Inc., p. 1-3 3 p. 9062904. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 2020-February).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
12 Citations (Scopus) -
A 14-nm Low Voltage SRAM with Charge-Recycling and Charge Self-Saving Techniques for Low-Power Applications
Cho, K., Kim, G., Oh, J., Kim, K., Sim, C., Bae, Y., Kim, M., Baeck, S., Song, T. & Jung, S. O., 2022, 2022 IEEE Symposium on VLSI Technology and Circuits, VLSI Technology and Circuits 2022. Institute of Electrical and Electronics Engineers Inc., p. 214-215 2 p. (Digest of Technical Papers - Symposium on VLSI Technology; vol. 2022-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 16-site neural probe integrated with a waveguide for optical stimulation
Cho, I. J., Baac, H. W. & Yoon, E., 2010, MEMS 2010 - The 23rd IEEE International Conference on Micro Electro Mechanical Systems, Technical Digest. p. 995-998 4 p. 5442376. (Proceedings of the IEEE International Conference on Micro Electro Mechanical Systems (MEMS)).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
21 Citations (Scopus) -
A 185 μw -105.1 dB THD 88.6 dB SNDR Negative-R Stabilized Audio Preamplifier
Song, S., Lee, C., Jang, M. & Chae, Y., 2019 Sept, ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference. Institute of Electrical and Electronics Engineers Inc., p. 261-264 4 p. 8902846. (ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
5 Citations (Scopus) -
A 1-V 5 GHz low phase noise LC-VCO using voltage-dividing and bias-level shifting technique
Song, T. & Yoon, E., 2004, 2004 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems: Digest of Papers. Cressler, J. D. & Papapolymerou, J. (eds.). p. 87-90 4 p. (2004 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems: Digest of Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
9 Citations (Scopus)