Search concepts
|
Selected filters
|
- 750 - 800 out of 98,006 results
Search results
-
A 2-h diagnostic protocol to assess patients with chest pain symptoms in the Asia-Pacific region (ASPECT): A prospective observational validation study
Than, M., Cullen, L., Reid, C. M., Lim, S. H., Aldous, S., Ardagh, M. W., Peacock, W. F., Parsonage, W. A., Ho, H. F., Ko, H. F., Kasliwal, R. R., Bansal, M., Soerianata, S., Hu, D., Ding, R., Hua, Q., Seok-Min, K., Sritara, P., Sae-Lee, R., Chiu, T. F., & 7 others , 2011, In: The Lancet. 377, 9771, p. 1077-1084 8 p.Research output: Contribution to journal › Article › peer-review
292 Citations (Scopus) -
A 2-mercaptobenzimidazole-based emissive Cu(I) complex for selective determination of iodide with large Stokes shift
Singh, A., Singh, A., Singh, N. & Jang, D. O., 2017 May 1, In: Sensors and Actuators, B: Chemical. 243, p. 372-379 8 p.Research output: Contribution to journal › Article › peer-review
23 Citations (Scopus) -
A 2MHz BW Buffer-Embedded Noise-Shaping SAR ADC Achieving 73.8dB SNDR and 87.3dB SFDR
Kim, T. & Chae, Y., 2019 Apr, 2019 IEEE Custom Integrated Circuits Conference, CICC 2019. Institute of Electrical and Electronics Engineers Inc., 8780230. (Proceedings of the Custom Integrated Circuits Conference; vol. 2019-April).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
17 Citations (Scopus) -
A 2 mW, 50 dB DR, 10 MHz BW 5× interleaved bandpass delta-sigma modulator at 50 MHz if
Lee, I., Han, G. & Chae, Y., 2015 Jan 1, In: IEEE Transactions on Circuits and Systems I: Regular Papers. 62, 1, p. 80-89 10 p., 6913582.Research output: Contribution to journal › Article › peer-review
9 Citations (Scopus) -
A2OMDV: An adaptive Ad hoc on-demand multipath distance vector routing protocol using dynamic route switching
Shin, D. S., Lee, J. H., Kim, J. S. & Song, J. S., 2008, NetApps 2008 - International Conference on Network Applications, Protocols and Services 2008. (NetApps 2008 - International Conference on Network Applications, Protocols and Services 2008).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A2OMDV: An adaptive ad hoc on-demand multipath distance vector routing protocol using dynamic route switching
Shin, D., Lee, J., Kim, J. & Song, J. S., 2009 Jun, In: Journal of Engineering Science and Technology. 4, 2, p. 171-183 13 p.Research output: Contribution to journal › Article › peer-review
15 Citations (Scopus) -
A 3.1-5.2GHz, Energy-Efficient Single Antenna, Cancellation-Free, Bitwise Time-Division Duplex Transceiver for High Channel Count Optogenetic Neural Interface
Lin, Y. J., Song, H., Oh, S., Voroslakos, M., Kim, K., Chen, X., Wentzloff, D. D., Buzsaki, G., Park, S. Y. & Yoon, E., 2022 Feb 1, In: IEEE Transactions on Biomedical Circuits and Systems. 16, 1, p. 52-63 12 p.Research output: Contribution to journal › Article › peer-review
3 Citations (Scopus) -
A 3.1 to 5GHz Low-Loss Planar Filter for MB-OFDM UWB Applications
Hong, Y. P., Myoung, S. S. & Yook, J. G., 2011 Apr, In: IEICE Transactions on Communications. E94-B, 4, p. 1098-1101 4 p.Research output: Contribution to journal › Article › peer-review
-
A 3.4-w object-adaptive cmos image sensor with embedded feature extraction algorithm for motion-triggered object-of-interest imaging
Choi, J., Park, S., Cho, J. & Yoon, E., 2014 Jan, In: IEEE Journal of Solid-State Circuits. 49, 1, p. 289-300 12 p., 6642143.Research output: Contribution to journal › Article › peer-review
56 Citations (Scopus) -
A 3.4μW CMOS image sensor with embedded feature-extraction algorithm for motion-triggered object-of-interest imaging
Choi, J., Park, S., Cho, J. & Yoon, E., 2013, 2013 IEEE International Solid-State Circuits Conference, ISSCC 2013 - Digest of Technical Papers. p. 478-479 2 p. 6487822. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 56).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
19 Citations (Scopus) -
A 3.5/7.0/14-Gb/s multi-rate clock and data recovery circuit with a multi-mode rotational binary phase detector
Pyun, K. H., Kwon, D. H. & Choi, W. Y., 2017 Jan 3, 2016 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2016. Institute of Electrical and Electronics Engineers Inc., p. 327-329 3 p. 7803966. (2016 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2016).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
3 Citations (Scopus) -
A 300-μW audio ΔΣ modulator with 100.5-dB DR using dynamic bias inverter
Lee, S., Jo, W., Song, S. & Chae, Y., 2018 Feb 20, ASP-DAC 2018 - 23rd Asia and South Pacific Design Automation Conference, Proceedings. Institute of Electrical and Electronics Engineers Inc., p. 297-298 2 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 2018-January).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 300-μW Audio Δσ Modulator with 100.5-dB DR Using Dynamic Bias Inverter
Lee, S., Jo, W., Song, S. & Chae, Y., 2016 Nov, In: IEEE Transactions on Circuits and Systems I: Regular Papers. 63, 11, p. 1866-1875 10 p.Research output: Contribution to journal › Article › peer-review
27 Citations (Scopus) -
A 30-GHz self-injection-locked oscillator having a long optical delay line for phase-noise reduction
Lee, K. H., Kim, J. Y. & Choi, W. Y., 2007 Dec 15, In: IEEE Photonics Technology Letters. 19, 24, p. 1982-1984 3 p.Research output: Contribution to journal › Article › peer-review
35 Citations (Scopus) -
A 32-bit carry lookahead adder using dual-path All-N logic
Yang, G., Jung, S. O., Baek, K. H., Kim, S. H., Kim, S. & Kang, S. M., 2005 Aug, In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 13, 8, p. 992-996 5 p.Research output: Contribution to journal › Article › peer-review
20 Citations (Scopus) -
A 32-Gb/s PAM-4 Quarter-Rate Clock and Data Recovery Circuit With an Input Slew-Rate Tolerant Selective Transition Detector
Kwon, D. H., Kim, M., Kim, S. G. & Choi, W. Y., 2019 Mar, In: IEEE Transactions on Circuits and Systems II: Express Briefs. 66, 3, p. 362-366 5 p., 8410680.Research output: Contribution to journal › Article › peer-review
9 Citations (Scopus) -
A 32-society investigation of the influence of perceived economic inequality on social class stereotyping
Tanjitpiyanond, P., Jetten, J., Peters, K., Ashokkumar, A., Barry, O., Billet, M., Becker, M., Booth, R. W., Castro, D., Chinchilla, J., Costantini, G., Dejonckheere, E., Dimdins, G., Erbas, Y., Espinosa, A., Finchilescu, G., Gómez, Á., González, R., Goto, N., Hatano, A., & 27 others , 2023 Mar, In: European Journal of Social Psychology. 53, 2, p. 367-382 16 p.Research output: Contribution to journal › Article › peer-review
Open Access -
A 32-Week Randomized Comparison of Stepwise Insulin Intensification of Biphasic Insulin Aspart (BIAsp 30) Versus Basal–Bolus Therapy in Insulin-Naïve Patients with Type 2 Diabetes
Linjawi, S., Lee, B. W., Tabak, Ö., Lövdahl, S., Werther, S. & Abusnana, S., 2018 Feb 1, In: Diabetes Therapy. 9, 1, p. 1-11 11 p.Research output: Contribution to journal › Article › peer-review
Open Access4 Citations (Scopus) -
A 348-μW 68.8-dB SNDR 20-MS/s Pipelined SAR ADC with a Closed-Loop Two-Stage Dynamic Amplifier
Kwon, Y., Kim, T., Sun, N. & Chae, Y., 2021, In: IEEE Solid-State Circuits Letters. 4, p. 166-169 4 p.Research output: Contribution to journal › Article › peer-review
Open Access6 Citations (Scopus) -
A 39-month follow-up study to evaluate the safety and efficacy in kidney transplant recipients treated with modified-release tacrolimus (FK506E)-based immunosuppression regimen
Han, D. J., Park, J. B., Kim, Y. S., Kim, S. J., Ha, J., Kim, H. C., Kim, S. J., Moon, I. S. & Yang, C. W., 2012 Jan, In: Transplantation Proceedings. 44, 1, p. 115-117 3 p.Research output: Contribution to journal › Article › peer-review
11 Citations (Scopus) -
A 3 CHANNEL DIGITAL CVSD BIT-RATE CONVERSION SYSTEM USING A GENERAL PURPOSE DSP
Choi, Y. S., Kang, H. G., Kim, S. Y., Park, Y. C. & Youn, D. H., 1997, p. 665-668. 4 p.Research output: Contribution to conference › Paper › peer-review
-
A 3-D camera with adaptable background light suppression using pixel-binning and super-resolution
Cho, J., Choi, J., Kim, S. J., Park, S., Shin, J., Kim, J. D. K. & Yoon, E., 2014 Oct 1, In: IEEE Journal of Solid-State Circuits. 49, 10, p. 2319-2332 14 p., 6873706.Research output: Contribution to journal › Article › peer-review
31 Citations (Scopus) -
A 3D head pose estimation for face recognition
Song, H., Yang, U., Kim, J. & Sohn, K., 2003, Proceedings of the Fifth IASTED International Conference on Signal and Image Processing. Hamza, H. M. (ed.). p. 133-138 6 p. (Proceedings of the IASTED International Conference on Signal and Image Processing; vol. 5).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 3 dimensional built-in self-repair scheme for yield improvement of 3 dimensional memories
Kang, W., Lee, C., Lim, H. & Kang, S., 2015 Jun 1, In: IEEE Transactions on Reliability. 64, 2, p. 586-595 10 p., 7061513.Research output: Contribution to journal › Article › peer-review
17 Citations (Scopus) -
A 3-dimensional real-time traffic simulator considering the interaction among autonomous and human-driven vehicles
Lee, S., Kim, J., Song, H. & Kim, S., 2014 Nov 14, 2014 17th IEEE International Conference on Intelligent Transportation Systems, ITSC 2014. Institute of Electrical and Electronics Engineers Inc., p. 1917-1918 2 p. 6957984. (2014 17th IEEE International Conference on Intelligent Transportation Systems, ITSC 2014).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 3D model for magnetorheological fluid that considers neighboring particle interactions in 2D skewed magnetic fields
Jang, K. I., Seok, J., Min, B. K. & Lee, S. J., 2009 Jan, In: International Journal of Precision Engineering and Manufacturing. 10, 1, p. 115-118 4 p.Research output: Contribution to journal › Article › peer-review
11 Citations (Scopus) -
A 3D modeling and free-view generation system using environmental stereo cameras
Kim, H., Kim, D., Min, D. & Sohn, K., 2007, In: International Journal of Imaging Systems and Technology. 17, 6, p. 367-378 12 p.Research output: Contribution to journal › Article › peer-review
2 Citations (Scopus) -
A 3D modeling system for creative design
Nishino, H., Takagi, H., Cho, S. B. & Utsumiya, K., 2001, Proceedings - 15th International Conference on Information Networking, ICOIN 2001. IEEE Computer Society, p. 479-486 8 p. 905468. (International Conference on Information Networking; vol. 2001-January).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
20 Citations (Scopus) -
A 3-D real-time simulation for autonomous driving with V2V communications
Lee, S., Cho, J. & Kim, S., 2013, p. 800-801. 2 p.Research output: Contribution to conference › Paper › peer-review
4 Citations (Scopus) -
A 3-D Rotation-Based Through-Silicon via Redundancy Architecture for Clustering Faults
Cheong, M., Lee, I. & Kang, S., 2020 Sept, In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 39, 9, p. 1925-1934 10 p., 8758140.Research output: Contribution to journal › Article › peer-review
6 Citations (Scopus) -
A 3D triple-deck photoanode with a strengthened structure integrality: Enhanced photoelectrochemical water oxidation
Ma, M., Shi, X., Zhang, K., Kwon, S., Li, P., Kim, J. K., Phu, T. T., Yi, G. R. & Park, J. H., 2016 Feb 14, In: Nanoscale. 8, 6, p. 3474-3481 8 p.Research output: Contribution to journal › Article › peer-review
23 Citations (Scopus) -
A 3D view of tumor heterogeneity
Chung, K., 2017 Nov 15, In: Science Translational Medicine. 9, 416, eaaq1234.Research output: Contribution to journal › Review article › peer-review
-
A 4.8-Gb/s mixed-mode CMOS QPSK demodulator for 60-GHz wireless personal area networks
Kim, D., Ko, M., Choi, K. C. & Choi, W. Y., 2010, Proceedings of the 2010 Asia Pacific Conference on Circuit and System, APCCAS 2010. p. 60-63 4 p. 5774815. (IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Citations (Scopus) -
A 4×25-Gbps Monolithically Integrated Si Photonic WDM Transmitter with Ring Modulators
Kim, M., Park, K., Oh, W. S., Mai, C., Lischke, S., Zimmermann, L. & Choi, W. Y., 2019 May 14, 2019 8th Annual IEEE Photonics Society Optical Interconnects Conference, OI 2019. Institute of Electrical and Electronics Engineers Inc., 8714557. (2019 8th Annual IEEE Photonics Society Optical Interconnects Conference, OI 2019).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
7 Citations (Scopus) -
A 4×32-channel neural recording system for deep brain stimulation systems
Kim, S., Na, S. I., Yang, Y., Kim, H., Kim, T., Cho, J. S., Kim, J., Chang, J. W. & Kim, S., 2017 Feb, In: Journal of Semiconductor Technology and Science. 17, 1, p. 129-140 12 p.Research output: Contribution to journal › Article › peer-review
5 Citations (Scopus) -
A 40-m Range 90-frames/s CMOS Time-of-Flight Sensor Using SPAD and In-Pixel Time-Gated Pulse Counter
Park, B., Park, I., Choi, W., Na, Y. & Chae, Y., 2020, In: IEEE Solid-State Circuits Letters. 3, p. 422-425 4 p., 9201527.Research output: Contribution to journal › Article › peer-review
3 Citations (Scopus) -
A 41μW 16MS/s 99.2dB-SFDR Capacitively Degenerated Dynamic Amplifier with Nonlinear-Slope-Factor Compensation
Kim, Y., Park, S., Song, S., Lee, S., Jang, M., Lee, C. & Chae, Y., 2020 Feb, 2020 IEEE International Solid-State Circuits Conference, ISSCC 2020. Institute of Electrical and Electronics Engineers Inc., p. 358-360 3 p. 9062982. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 2020-February).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 4-20-Gb/s 1.87-pJ/b Continuous-Rate Digital CDR Circuit with Unlimited Frequency Acquisition Capability in 65-nm CMOS
Park, K., Lee, K., Cho, S. Y., Lee, J., Hwang, J., Choo, M. S. & Jeong, D. K., 2021 May, In: IEEE Journal of Solid-State Circuits. 56, 5, p. 1597-1607 11 p., 9239394.Research output: Contribution to journal › Article › peer-review
17 Citations (Scopus) -
A 43dB ACR low-pass filter with automatic tuning for low-IF conversion DAB/T-DMB tuner IC
Kim, S., Kim, B., Jeong, M. S., Lee, J. H., Cho, Y., Kim, T. W. & Kim, B. E., 2005, Proceedings of ESSCIRC 2005: 31st European Solid-State Circuits Conference. p. 319-322 4 p. 1541624. (Proceedings of ESSCIRC 2005: 31st European Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Citations (Scopus) -
A452, an HDAC6-selective inhibitor, synergistically enhances the anticancer activity of chemotherapeutic agents in colorectal cancer cells
Won, H. R., Ryu, H. W., Shin, D. H., Yeon, S. K., Lee, D. H. & Kwon, S. H., 2018 Oct, In: Molecular Carcinogenesis. 57, 10, p. 1383-1395 13 p.Research output: Contribution to journal › Article › peer-review
15 Citations (Scopus) -
A452, HDAC6-selective inhibitor synergistically enhances the anticancer activity of immunomodulatory drugs in IMiDs-resistant multiple myeloma
Kim, G. W., Yoo, J., Won, H. R., Yeon, S. K., Lee, S. W., Lee, D. H., Jeon, Y. H. & Kwon, S. H., 2020 Aug, In: Leukemia Research. 95, 106398.Research output: Contribution to journal › Article › peer-review
8 Citations (Scopus) -
A 47,X,+t(X;X)(p22.3;p22.3)del(X)(p11.23q11.2),Y Klinefelter variant with Morbid Obesity
Kim, Y., Kim, W. J., Huh, J. H., Lee, S., Kim, D., Hong, J. W. & Lee, E. J., 2013 Mar, In: Yonsei medical journal. 54, 2, p. 538-540 3 p.Research output: Contribution to journal › Article › peer-review
Open Access1 Citation (Scopus) -
A 47.5nJ Resistor-to-Digital Converter for Detecting BTEX with 0.06ppb-Resolution
Lee, Y., Cho, B., Lee, C., Kim, J. & Chae, Y., 2021 Jun 13, 2021 Symposium on VLSI Circuits, VLSI Circuits 2021. Institute of Electrical and Electronics Engineers Inc., 9492408. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers; vol. 2021-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Citations (Scopus) -
A 48 Gb/s PAM-4 Receiver With Pre-Cursor Adjustable Baud-Rate Phase Detector in 40 nm CMOS
Jung, W., Lee, K., Park, K., Ju, H., Lee, J. & Jeong, D. K., 2023 May 1, In: IEEE Journal of Solid-State Circuits. 58, 5, p. 1414-1424 11 p.Research output: Contribution to journal › Article › peer-review
-
A 4-item PRECISE-DAPT score for dual antiplatelet therapy duration decision-making
PRECISE-DAPT Study Investigators, 2020 May, In: American heart journal. 223, p. 44-47 4 p.Research output: Contribution to journal › Letter › peer-review
13 Citations (Scopus) -
A4 Paper Chemistry: Synthesis of a Versatile and Chemically Modifiable Cellulose Membrane
Ahn, E., Kim, T., Jeon, Y. & Kim, B. S., 2020 May 26, In: ACS Nano. 14, 5, p. 6173-6180 8 p.Research output: Contribution to journal › Article › peer-review
24 Citations (Scopus) -
A 4-to-20Gb/s 1.87pJ/b Referenceless Digital CDR with Unlimited Frequency Detection Capability in 65nm CMOS
Park, K., Lee, K., Cho, S. Y., Lee, J., Hwang, J., Choo, M. S. & Jeong, D. K., 2019 Jun, 2019 Symposium on VLSI Circuits, VLSI Circuits 2019 - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., p. C194-C195 8778157. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers; vol. 2019-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
7 Citations (Scopus) -
A 4 μw/Ch analog front-end module with moderate inversion and power-scalable sampling operation for 3-D neural microsystems
Al-Ashmouny, K. M., Chang, S. I. & Yoon, E., 2012, In: IEEE Transactions on Biomedical Circuits and Systems. 6, 5, p. 403-413 11 p., 6334433.Research output: Contribution to journal › Article › peer-review
19 Citations (Scopus) -
A 4 μW/Ch analog front-end module with moderate inversion and power-scalable sampling operation for 3-D neural microsystems
Al-Ashmouny, K., Chang, S. I. & Yoon, E., 2011, 2011 IEEE Biomedical Circuits and Systems Conference, BioCAS 2011. p. 1-4 4 p. 6107712. (2011 IEEE Biomedical Circuits and Systems Conference, BioCAS 2011).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 5.1ms Low-Latency Face Detection Imager with In-Memory Charge-Domain Computing of Machine-Learning Classifiers
Song, H., Oh, S., Salinas, J., Park, S. Y. & Yoon, E., 2021, 2021 Symposium on VLSI Technology, VLSI Technology 2021. Institute of Electrical and Electronics Engineers Inc., (Digest of Technical Papers - Symposium on VLSI Technology; vol. 2021-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution