Search concepts
|
Selected filters
|
- 82,200 - 82,250 out of 98,009 results
Search results
-
Conference contribution
A 1V 7.8mW 15.6Gb/s C-PHY transceiver using tri-level signaling for post-LPDDR4
Choi, W., Kim, T., Shim, J., Kim, H., Han, G. & Chae, Y., 2017 Mar 2, 2017 IEEE International Solid-State Circuits Conference, ISSCC 2017. Fujino, L. C. (ed.). Institute of Electrical and Electronics Engineers Inc., p. 402-403 2 p. 7870431. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 60).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
16 Citations (Scopus) -
A 1μW 85nV/√Hz pseudo open-loop preamplifier with programmable band-pass filter for neural interface system
Chang, S. I. & Yoon, E., 2009, Proceedings of the 31st Annual International Conference of the IEEE Engineering in Medicine and Biology Society: Engineering the Future of Biomedicine, EMBC 2009. p. 1631-1634 4 p. 5334233. (Proceedings of the 31st Annual International Conference of the IEEE Engineering in Medicine and Biology Society: Engineering the Future of Biomedicine, EMBC 2009).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Citations (Scopus) -
A 2.1Mpixel 120frame/s CMOS image sensor with column-parallel ΔΣ ADC architecture
Chae, Y., Cheon, J., Lim, S., Lee, D., Kwon, M., Yoo, K., Jung, W., Lee, D. H., Ham, S. & Han, G., 2010, 2010 IEEE International Solid-State Circuits Conference, ISSCC 2010 - Digest of Technical Papers. p. 394-395 2 p. 5433974. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 53).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
45 Citations (Scopus) -
A 2.4-GHz CMOS resistively degenerated differential amplifier linearized using source coupled auxiliary FET pair
Kim, J., Han, S., Kim, T. W., Kim, B. E. & Shin, H., 2008, Proceedings of 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008. p. 445-448 4 p. 4708823. (Proceedings of 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 2.4-GHz sub-mW CMOS current-reused receiver front-end for wireless sensor network
Song, T., Oh, H. S., Baek, S. H., Hong, S. & Yoon, E., 2006, 2006 IEEE Radio Frequency Integrated Circuits(RFIC) Symposium - Digest of Papers. p. 293-296 4 p. 1651149. (Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium; vol. 2006).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 2.4-GHz Sub-mW frequency source with current-reused frequency multiplier
Song, T., Oh, H. S., Yang, J., Yoon, E. & Hong, S., 2006, 2006 IEEE Radio Frequency Integrated Circuits(RFIC) Symposium - Digest of Papers. p. 37-40 4 p. 1651085. (Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium; vol. 2006).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 200Gb/s PAM-4 Transmitter with Hybrid Sub-Sampling PLL in 28nm CMOS Technology
Wang, Z., Choi, M., Kwon, P., Lee, K., Yin, B., Liu, Z., Park, K., Biswas, A., Han, J., Du, S. & Alon, E., 2022, 2022 IEEE Symposium on VLSI Technology and Circuits, VLSI Technology and Circuits 2022. Institute of Electrical and Electronics Engineers Inc., p. 34-35 2 p. (Digest of Technical Papers - Symposium on VLSI Technology; vol. 2022-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 20bit continuous-time ΣΔ modulator with a Gm-C integrator, 120dB CMRR and 15 ppm INL
Singh, G., Wu, R., Chae, Y. & Makinwa, K. A. A., 2012, 2012 Proceedings of the European Solid State Circuits Conference, ESSCIRC 2012. p. 385-388 4 p. 6341366. (European Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
18 Citations (Scopus) -
A 25mW CMOS sensor for wind and temperature measurement
Wu, J., Van Vroonhoven, C., Chae, Y. & Makinwa, K., 2011, IEEE Sensors 2011 Conference, SENSORS 2011. p. 1261-1264 4 p. 6127204. (Proceedings of IEEE Sensors).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
31 Citations (Scopus) -
A 27.1 mW, 7.5-to-11.1 Gb/s single-loop referenceless CDR with direct Up/dn control
Park, K., Bae, W. & Jeong, D. K., 2017 Jul 26, 38th Annual Custom Integrated Circuits Conference: A Showcase for Integrated Circuit Design in Silicon Hills, CICC 2017. Institute of Electrical and Electronics Engineers Inc., 7993599. (Proceedings of the Custom Integrated Circuits Conference; vol. 2017-April).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
6 Citations (Scopus) -
A 272.49 pJ/pixel CMOS image sensor with embedded object detection and bio-inspired 2D optic flow generation for nano-air-vehicle navigation
Lee, K., Park, S., Park, S. Y., Cho, J. & Yoon, E., 2017 Aug 10, 2017 Symposium on VLSI Circuits, VLSI Circuits 2017. Institute of Electrical and Electronics Engineers Inc., p. C294-C295 8008514. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
13 Citations (Scopus) -
A 28-GHz Butler Matrix Based Switched Beam-Forming Network with Phase Inverting Switch for Dual-Port Excitation in 28-nm CMOS
Lee, Y., Suh, B. & Min, B. W., 2022, 2022 IEEE/MTT-S International Microwave Symposium, IMS 2022. Institute of Electrical and Electronics Engineers Inc., p. 1002-1005 4 p. (IEEE MTT-S International Microwave Symposium Digest; vol. 2022-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 28-GHz full duplex front-end and canceller using two cross-polarized 64-element phased arrays
Myeong, J., Park, K., Nafe, A., Chung, H., Rebeiz, G. M. & Min, B. W., 2020 Aug, IMS 2020 - 2020 IEEE/MTT-S International Microwave Symposium. Institute of Electrical and Electronics Engineers Inc., p. 825-828 4 p. 9223906. (IEEE MTT-S International Microwave Symposium Digest; vol. 2020-August).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Citations (Scopus) -
A 28-GHz Low Insertion Loss Variation 4-Bit Phase Shifter
Kim, J. W. & Min, B. W., 2022, RFIT 2022 - 2022 IEEE International Symposium on Radio-Frequency Integration Technology. Institute of Electrical and Electronics Engineers Inc., p. 60-62 3 p. (RFIT 2022 - 2022 IEEE International Symposium on Radio-Frequency Integration Technology).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 2-D compaction method using macro block for post-silicon validation
Jung, W., Oh, H., Kang, D. & Kang, S., 2016 Feb 8, ISOCC 2015 - International SoC Design Conference: SoC for Internet of Everything (IoE). Institute of Electrical and Electronics Engineers Inc., p. 41-42 2 p. 7401690. (ISOCC 2015 - International SoC Design Conference: SoC for Internet of Everything (IoE)).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
3 Citations (Scopus) -
A 2-Gbps CMOS adaptive line equalizer
Lee, J. W., Lee, B. C. & Choi, W. Y., 2004, Proceedings of 2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits. p. 244-247 4 p. (Proceedings of 2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 2MHz BW Buffer-Embedded Noise-Shaping SAR ADC Achieving 73.8dB SNDR and 87.3dB SFDR
Kim, T. & Chae, Y., 2019 Apr, 2019 IEEE Custom Integrated Circuits Conference, CICC 2019. Institute of Electrical and Electronics Engineers Inc., 8780230. (Proceedings of the Custom Integrated Circuits Conference; vol. 2019-April).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
17 Citations (Scopus) -
A2OMDV: An adaptive Ad hoc on-demand multipath distance vector routing protocol using dynamic route switching
Shin, D. S., Lee, J. H., Kim, J. S. & Song, J. S., 2008, NetApps 2008 - International Conference on Network Applications, Protocols and Services 2008. (NetApps 2008 - International Conference on Network Applications, Protocols and Services 2008).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 3.4μW CMOS image sensor with embedded feature-extraction algorithm for motion-triggered object-of-interest imaging
Choi, J., Park, S., Cho, J. & Yoon, E., 2013, 2013 IEEE International Solid-State Circuits Conference, ISSCC 2013 - Digest of Technical Papers. p. 478-479 2 p. 6487822. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 56).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
19 Citations (Scopus) -
A 3.5/7.0/14-Gb/s multi-rate clock and data recovery circuit with a multi-mode rotational binary phase detector
Pyun, K. H., Kwon, D. H. & Choi, W. Y., 2017 Jan 3, 2016 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2016. Institute of Electrical and Electronics Engineers Inc., p. 327-329 3 p. 7803966. (2016 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2016).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
3 Citations (Scopus) -
A 300-μW audio ΔΣ modulator with 100.5-dB DR using dynamic bias inverter
Lee, S., Jo, W., Song, S. & Chae, Y., 2018 Feb 20, ASP-DAC 2018 - 23rd Asia and South Pacific Design Automation Conference, Proceedings. Institute of Electrical and Electronics Engineers Inc., p. 297-298 2 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 2018-January).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 3D head pose estimation for face recognition
Song, H., Yang, U., Kim, J. & Sohn, K., 2003, Proceedings of the Fifth IASTED International Conference on Signal and Image Processing. Hamza, H. M. (ed.). p. 133-138 6 p. (Proceedings of the IASTED International Conference on Signal and Image Processing; vol. 5).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 3-dimensional real-time traffic simulator considering the interaction among autonomous and human-driven vehicles
Lee, S., Kim, J., Song, H. & Kim, S., 2014 Nov 14, 2014 17th IEEE International Conference on Intelligent Transportation Systems, ITSC 2014. Institute of Electrical and Electronics Engineers Inc., p. 1917-1918 2 p. 6957984. (2014 17th IEEE International Conference on Intelligent Transportation Systems, ITSC 2014).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 3D modeling system for creative design
Nishino, H., Takagi, H., Cho, S. B. & Utsumiya, K., 2001, Proceedings - 15th International Conference on Information Networking, ICOIN 2001. IEEE Computer Society, p. 479-486 8 p. 905468. (International Conference on Information Networking; vol. 2001-January).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
20 Citations (Scopus) -
A 4.8-Gb/s mixed-mode CMOS QPSK demodulator for 60-GHz wireless personal area networks
Kim, D., Ko, M., Choi, K. C. & Choi, W. Y., 2010, Proceedings of the 2010 Asia Pacific Conference on Circuit and System, APCCAS 2010. p. 60-63 4 p. 5774815. (IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Citations (Scopus) -
A 4×25-Gbps Monolithically Integrated Si Photonic WDM Transmitter with Ring Modulators
Kim, M., Park, K., Oh, W. S., Mai, C., Lischke, S., Zimmermann, L. & Choi, W. Y., 2019 May 14, 2019 8th Annual IEEE Photonics Society Optical Interconnects Conference, OI 2019. Institute of Electrical and Electronics Engineers Inc., 8714557. (2019 8th Annual IEEE Photonics Society Optical Interconnects Conference, OI 2019).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
7 Citations (Scopus) -
A 41μW 16MS/s 99.2dB-SFDR Capacitively Degenerated Dynamic Amplifier with Nonlinear-Slope-Factor Compensation
Kim, Y., Park, S., Song, S., Lee, S., Jang, M., Lee, C. & Chae, Y., 2020 Feb, 2020 IEEE International Solid-State Circuits Conference, ISSCC 2020. Institute of Electrical and Electronics Engineers Inc., p. 358-360 3 p. 9062982. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 2020-February).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 43dB ACR low-pass filter with automatic tuning for low-IF conversion DAB/T-DMB tuner IC
Kim, S., Kim, B., Jeong, M. S., Lee, J. H., Cho, Y., Kim, T. W. & Kim, B. E., 2005, Proceedings of ESSCIRC 2005: 31st European Solid-State Circuits Conference. p. 319-322 4 p. 1541624. (Proceedings of ESSCIRC 2005: 31st European Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Citations (Scopus) -
A 47.5nJ Resistor-to-Digital Converter for Detecting BTEX with 0.06ppb-Resolution
Lee, Y., Cho, B., Lee, C., Kim, J. & Chae, Y., 2021 Jun 13, 2021 Symposium on VLSI Circuits, VLSI Circuits 2021. Institute of Electrical and Electronics Engineers Inc., 9492408. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers; vol. 2021-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Citations (Scopus) -
A 4-to-20Gb/s 1.87pJ/b Referenceless Digital CDR with Unlimited Frequency Detection Capability in 65nm CMOS
Park, K., Lee, K., Cho, S. Y., Lee, J., Hwang, J., Choo, M. S. & Jeong, D. K., 2019 Jun, 2019 Symposium on VLSI Circuits, VLSI Circuits 2019 - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., p. C194-C195 8778157. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers; vol. 2019-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
7 Citations (Scopus) -
A 4 μW/Ch analog front-end module with moderate inversion and power-scalable sampling operation for 3-D neural microsystems
Al-Ashmouny, K., Chang, S. I. & Yoon, E., 2011, 2011 IEEE Biomedical Circuits and Systems Conference, BioCAS 2011. p. 1-4 4 p. 6107712. (2011 IEEE Biomedical Circuits and Systems Conference, BioCAS 2011).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 5.1ms Low-Latency Face Detection Imager with In-Memory Charge-Domain Computing of Machine-Learning Classifiers
Song, H., Oh, S., Salinas, J., Park, S. Y. & Yoon, E., 2021, 2021 Symposium on VLSI Technology, VLSI Technology 2021. Institute of Electrical and Electronics Engineers Inc., (Digest of Technical Papers - Symposium on VLSI Technology; vol. 2021-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 5.1ms Low-Latency Face Detection Imager with In-Memory Charge-Domain Computing of Machine-Learning Classifiers
Song, H., Oh, S., Salinas, J., Park, S. Y. & Yoon, E., 2021 Jun 13, 2021 Symposium on VLSI Circuits, VLSI Circuits 2021. Institute of Electrical and Electronics Engineers Inc., 9492432. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers; vol. 2021-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
5 Citations (Scopus) -
A 5.1-μW UHF RFID tag chip integrated with sensors for wireless environmental monitoring
Cho, N., Song, S. J., Kim, S., Kim, S. & Yoo, H. J., 2005, Proceedings of ESSCIRC 2005: 31st European Solid-State Circuits Conference. p. 279-282 4 p. 1541614. (Proceedings of ESSCIRC 2005: 31st European Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
24 Citations (Scopus) -
A 5.2Mpixel 88.4dB-DR 12in CMOS X-Ray Detector with 16b Column-Parallel Continuous-Time ΔΣ ADCs
Lee, S., Jeong, J., Kim, T., Park, C., Kim, T. & Chae, Y., 2020 Feb, 2020 IEEE International Solid-State Circuits Conference, ISSCC 2020. Institute of Electrical and Electronics Engineers Inc., p. 434-436 3 p. 9062919. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 2020-February).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
5 Citations (Scopus) -
A 5.2-mW, 2.5-Gb/s limiting amplifer for OC-48 SONET applications
Yoo, K., Han, G. & Park, S. M., 2006, ICECS 2006 - 13th IEEE International Conference on Electronics, Circuits and Systems. p. 537-540 4 p. 4263422. (Proceedings of the IEEE International Conference on Electronics, Circuits, and Systems).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 5.4Gb/s adaptive equalizer using asynchronous-sampling histograms
Kim, W. S., Seong, C. K. & Choi, W. Y., 2011, 2011 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, ISSCC 2011. Institute of Electrical and Electronics Engineers Inc., p. 358-359 2 p. 5746353. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
17 Citations (Scopus) -
A 5.9μm-pixel 2D/3D image sensor with background suppression over 100klx
Cho, J., Choi, J., Kim, S. J., Shin, J., Park, S., Kim, J. D. K. & Yoon, E., 2013, 2013 Symposium on VLSI Circuits, VLSIC 2013 - Digest of Technical Papers. p. C6-C7 6578705. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
6 Citations (Scopus) -
A 5000S/s single-chip smart eye-tracking sensor
Kim, D., Cho, J., Lim, S., Lee, D. & Han, G., 2008, 2008 IEEE International Solid State Circuits Conference - Digest of Technical Papers, ISSCC. Institute of Electrical and Electronics Engineers Inc., p. 46-48 3 p. 4523049. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 51).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
14 Citations (Scopus) -
A 50 MS/s 65 dB-SNDR Pipelined SAR ADC using Capacitively Degenerated Two-Stage Dynamic Amplifier
Yoon, H., Kim, T., Kwon, Y. & Chae, Y., 2022, 2022 IEEE Symposium on VLSI Technology and Circuits, VLSI Technology and Circuits 2022. Institute of Electrical and Electronics Engineers Inc., p. 88-89 2 p. (Digest of Technical Papers - Symposium on VLSI Technology; vol. 2022-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 50mW CMOS wind sensor with ±4% speed and ±2° direction error
Wu, J., Chae, Y., Van Vroonhoven, C. P. L. & Makinwa, K. A. A., 2011, 2011 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, ISSCC 2011. Institute of Electrical and Electronics Engineers Inc., p. 106-107 2 p. 5746239. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
20 Citations (Scopus) -
A 53-64-GHz SiGe up-conversion mixer with 4-GHz IF bandwidth
Ko, M., Rücker, H. & Choi, W. Y., 2010, 2010 10th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, SiRF 2010 - Digest of Papers. p. 73-76 4 p. 5422955. (2010 10th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, SiRF 2010 - Digest of Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
10 Citations (Scopus) -
A 55μW 93.1dB-DR 20kHz-BW single-bit CT ΔΣ modulator with negative R-assisted integrator achieving 178.7dB FoM in 65nm CMOS
Jang, M., Lee, S. & Chae, Y., 2017 Aug 10, 2017 Symposium on VLSI Circuits, VLSI Circuits 2017. Institute of Electrical and Electronics Engineers Inc., p. C40-C41 8008540. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
11 Citations (Scopus) -
A 5800-μm2 Resistor-Based Temperature Sensor with a One-Point Trimmed Inaccuracy of ±1.2 °c (3σ) from -50 °c to 105 °c in 65-nm CMOS
Lee, Y., Choi, W., Kim, T., Song, S., Makinwa, K. A. A. & Chae, Y., 2019 Sept, ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference. Institute of Electrical and Electronics Engineers Inc., p. 67-70 4 p. 8902650. (ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
5 Citations (Scopus) -
A 5-8 Gb/s low-power transmitter with 2-tap pre-emphasis based on toggling serialization
Kim, S. G., Kim, T., Kwon, D. H. & Choi, W. Y., 2017 Feb 6, 2016 IEEE Asian Solid-State Circuits Conference, A-SSCC 2016 - Proceedings. Institute of Electrical and Electronics Engineers Inc., p. 249-252 4 p. 7844182. (2016 IEEE Asian Solid-State Circuits Conference, A-SSCC 2016 - Proceedings).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
7 Citations (Scopus) -
A 5-Gb/s low-power transmitter with voltage-mode output driver in 90nm CMOS technology
Rhim, J. & Choi, W. Y., 2011, 2011 International SoC Design Conference, ISOCC 2011. IEEE Computer Society, p. 231-234 4 p. (2011 International SoC Design Conference, ISOCC 2011).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
5 Citations (Scopus) -
A 6.3μW 20b incremental zoom-ADC with 6ppm INL and 1μV offset
Chae, Y., Souri, K. & Makinwa, K. A. A., 2013, 2013 IEEE International Solid-State Circuits Conference, ISSCC 2013 - Digest of Technical Papers. p. 276-277 2 p. 6487733. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 56).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
19 Citations (Scopus) -
A 6.4-to-32Gb/s 0.96pJ/b Referenceless CDR Employing ML-Inspired Stochastic Phase-Frequency Detection Technique in 40nm CMOS
Park, K., Shim, M., Ko, H. G. & Jeong, D. K., 2020 Feb, 2020 IEEE International Solid-State Circuits Conference, ISSCC 2020. Institute of Electrical and Electronics Engineers Inc., p. 124-126 3 p. 9063010. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 2020-February).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
18 Citations (Scopus) -
A 6.5μW 10kHz-BW 80.4dB-SNDR Continuous-Time ΔΣ Modulator with Gm-Input and 300mVpp Linear Input Range for Closed-Loop Neural Recording
Lee, C., Jeon, T., Jane, M., Park, S., Huh, Y. & Chae, Y., 2020 Feb, 2020 IEEE International Solid-State Circuits Conference, ISSCC 2020. Institute of Electrical and Electronics Engineers Inc., p. 410-412 3 p. 9063074. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 2020-February).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
18 Citations (Scopus) -
A 6.9mW 120fps 28×50 capacitive touch sensor for 1mm-φ stylus using current-driven ΔΣ ADCs
Hwang, H., Lee, H. & Chae, Y., 2018 Feb 20, ASP-DAC 2018 - 23rd Asia and South Pacific Design Automation Conference, Proceedings. Institute of Electrical and Electronics Engineers Inc., p. 305-306 2 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 2018-January).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution