Search concepts
|
Selected filters
|
- 800 - 850 out of 98,017 results
Search results
-
A 5.1ms Low-Latency Face Detection Imager with In-Memory Charge-Domain Computing of Machine-Learning Classifiers
Song, H., Oh, S., Salinas, J., Park, S. Y. & Yoon, E., 2021, 2021 Symposium on VLSI Technology, VLSI Technology 2021. Institute of Electrical and Electronics Engineers Inc., (Digest of Technical Papers - Symposium on VLSI Technology; vol. 2021-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 5.1-μW UHF RFID tag chip integrated with sensors for wireless environmental monitoring
Cho, N., Song, S. J., Kim, S., Kim, S. & Yoo, H. J., 2005, Proceedings of ESSCIRC 2005: 31st European Solid-State Circuits Conference. p. 279-282 4 p. 1541614. (Proceedings of ESSCIRC 2005: 31st European Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
24 Citations (Scopus) -
A 5.28-Gb/s serializer ASIC for uncompressed long-haul multimedia interconnects
Park, K. Y., Oh, W. S., Choi, J. C. & Choi, W. Y., 2012 Oct, In: Analog Integrated Circuits and Signal Processing. 73, 1, p. 385-395 11 p.Research output: Contribution to journal › Article › peer-review
-
A 5.2Mpixel 88.4dB-DR 12in CMOS X-Ray Detector with 16b Column-Parallel Continuous-Time ΔΣ ADCs
Lee, S., Jeong, J., Kim, T., Park, C., Kim, T. & Chae, Y., 2020 Feb, 2020 IEEE International Solid-State Circuits Conference, ISSCC 2020. Institute of Electrical and Electronics Engineers Inc., p. 434-436 3 p. 9062919. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 2020-February).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
5 Citations (Scopus) -
A 5.2-Mpixel 88.4-dB DR 12-in CMOS X-Ray Detector with 16-bit Column-Parallel Continuous-Time Incremental ΔΣ ADCs
Lee, S., Jeong, J., Kim, T., Park, C., Kim, T. & Chae, Y., 2020 Nov, In: IEEE Journal of Solid-State Circuits. 55, 11, p. 2878-2888 11 p., 9162454.Research output: Contribution to journal › Article › peer-review
7 Citations (Scopus) -
A 5.2-mW, 2.5-Gb/s limiting amplifer for OC-48 SONET applications
Yoo, K., Han, G. & Park, S. M., 2006, ICECS 2006 - 13th IEEE International Conference on Electronics, Circuits and Systems. p. 537-540 4 p. 4263422. (Proceedings of the IEEE International Conference on Electronics, Circuits, and Systems).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 5.4Gb/s adaptive equalizer using asynchronous-sampling histograms
Kim, W. S., Seong, C. K. & Choi, W. Y., 2011, 2011 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, ISSCC 2011. Institute of Electrical and Electronics Engineers Inc., p. 358-359 2 p. 5746353. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
17 Citations (Scopus) -
A 5.4-Gbit/s adaptive continuous-time linear equalizer using asynchronous undersampling histograms
Kim, W. S., Seong, C. K. & Choi, W. Y., 2012, In: IEEE Transactions on Circuits and Systems II: Express Briefs. 59, 9, p. 553-557 5 p., 6287563.Research output: Contribution to journal › Article › peer-review
20 Citations (Scopus) -
A 5.5-mW +9.4-dBm IIP3 1.8-dB NF CMOS LNA employing multiple gated transistors with capacitance desensitization
Jin, T. H. & Kim, T. W., 2010 Oct, In: IEEE Transactions on Microwave Theory and Techniques. 58, 10, p. 2529-2537 9 p., 5560699.Research output: Contribution to journal › Article › peer-review
24 Citations (Scopus) -
A 5.9μm-pixel 2D/3D image sensor with background suppression over 100klx
Cho, J., Choi, J., Kim, S. J., Shin, J., Park, S., Kim, J. D. K. & Yoon, E., 2013, 2013 Symposium on VLSI Circuits, VLSIC 2013 - Digest of Technical Papers. p. C6-C7 6578705. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
4 Citations (Scopus) -
A 5000S/s single-chip smart eye-tracking sensor
Kim, D., Cho, J., Lim, S., Lee, D. & Han, G., 2008, 2008 IEEE International Solid State Circuits Conference - Digest of Technical Papers, ISSCC. Institute of Electrical and Electronics Engineers Inc., p. 46-48 3 p. 4523049. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 51).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
11 Citations (Scopus) -
A 500 dpi capacitive-type CMOS fingerprint sensor with pixel-level adaptive image enhancement scheme
Lee, K. H. & Yoon, E., 2002, In: Digest of Technical Papers - IEEE International Solid-State Circuits Conference. p. 352-353+473+347Research output: Contribution to journal › Conference article › peer-review
-
A 500 dpi capacitive-type CMOS fingerprint sensor with pixel-level adaptive image enhancement scheme
Lee, K. H. & Yoon, E., 2002, In: Digest of Technical Papers - IEEE International Solid-State Circuits Conference. SUPPL., p. 282-283 2 p.Research output: Contribution to journal › Conference article › peer-review
3 Citations (Scopus) -
A 500-dpi Transparent On-Glass Capacitive Fingerprint Sensor
Hwang, H., Lee, H., Jang, B., Kim, H., Lee, T. & Chae, Y., 2017, In: Digest of Technical Papers - SID International Symposium. 48, 1, p. 838-841 4 p.Research output: Contribution to journal › Conference article › peer-review
7 Citations (Scopus) -
A 50 MS/s 65 dB-SNDR Pipelined SAR ADC using Capacitively Degenerated Two-Stage Dynamic Amplifier
Yoon, H., Kim, T., Kwon, Y. & Chae, Y., 2022, 2022 IEEE Symposium on VLSI Technology and Circuits, VLSI Technology and Circuits 2022. Institute of Electrical and Electronics Engineers Inc., p. 88-89 2 p. (Digest of Technical Papers - Symposium on VLSI Technology; vol. 2022-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 50mW CMOS wind sensor with ±4% speed and ±2° direction error
Wu, J., Chae, Y., Van Vroonhoven, C. P. L. & Makinwa, K. A. A., 2011, 2011 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, ISSCC 2011. Institute of Electrical and Electronics Engineers Inc., p. 106-107 2 p. 5746239. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
20 Citations (Scopus) -
A 51-pJ/Pixel 33.7-dB PSNR 4× Compressive CMOS Image Sensor with Column-Parallel Single-Shot Compressive Sensing
Park, C., Zhao, W., Park, I., Sun, N. & Chae, Y., 2021 Aug, In: IEEE Journal of Solid-State Circuits. 56, 8, p. 2503-2515 13 p., 9424987.Research output: Contribution to journal › Article › peer-review
11 Citations (Scopus) -
A 52-week extension study of switching from gemigliptin vs sitagliptin to gemigliptin only as add-on therapy for patients with type 2 diabetes who are inadequately controlled with metformin alone
for the Gemigliptin Study 006 Group, 2018 Jun, In: Diabetes, Obesity and Metabolism. 20, 6, p. 1535-1541 7 p.Research output: Contribution to journal › Article › peer-review
5 Citations (Scopus) -
A 53-64-GHz SiGe up-conversion mixer with 4-GHz IF bandwidth
Ko, M., Rücker, H. & Choi, W. Y., 2010, 2010 10th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, SiRF 2010 - Digest of Papers. p. 73-76 4 p. 5422955. (2010 10th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, SiRF 2010 - Digest of Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
10 Citations (Scopus) -
A 55.1 mW 1.62-to-8.1 Gb/s Video Interface Receiver Generating up to 680 MHz Stream Clock over 20 dB Loss Channel
Park, K., Lee, J., Lee, K., Choo, M. S., Jang, S., Chu, S. H., Kim, S. & Jeong, D. K., 2017 Dec, In: IEEE Transactions on Circuits and Systems II: Express Briefs. 64, 12, p. 1432-1436 5 p., 8022928.Research output: Contribution to journal › Article › peer-review
4 Citations (Scopus) -
A 55μW 93.1dB-DR 20kHz-BW single-bit CT ΔΣ modulator with negative R-assisted integrator achieving 178.7dB FoM in 65nm CMOS
Jang, M., Lee, S. & Chae, Y., 2017 Aug 10, 2017 Symposium on VLSI Circuits, VLSI Circuits 2017. Institute of Electrical and Electronics Engineers Inc., p. C40-C41 8008540. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
11 Citations (Scopus) -
A 5800-μm2 Resistor-Based Temperature Sensor with a One-Point Trimmed Inaccuracy of ±1.2 °c (3σ) from -50 °c to 105 °c in 65-nm CMOS
Lee, Y., Choi, W., Kim, T., Song, S., Makinwa, K. A. A. & Chae, Y., 2019 Sept, ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference. Institute of Electrical and Electronics Engineers Inc., p. 67-70 4 p. 8902650. (ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
5 Citations (Scopus) -
A 5800-μ m2Resistor-Based Temperature Sensor with a One-Point Trimmed Inaccuracy of ±1.2 °c (3σ) From-50 °c to 105 °c in 65-nm CMOS
Lee, Y., Choi, W., Kim, T., Song, S., Makinwa, K. A. A. & Chae, Y., 2019 Sept, In: IEEE Solid-State Circuits Letters. 2, 9, p. 67-70 4 p., 8877960.Research output: Contribution to journal › Article › peer-review
14 Citations (Scopus) -
A 5-8 Gb/s low-power transmitter with 2-tap pre-emphasis based on toggling serialization
Kim, S. G., Kim, T., Kwon, D. H. & Choi, W. Y., 2017 Feb 6, 2016 IEEE Asian Solid-State Circuits Conference, A-SSCC 2016 - Proceedings. Institute of Electrical and Electronics Engineers Inc., p. 249-252 4 p. 7844182. (2016 IEEE Asian Solid-State Circuits Conference, A-SSCC 2016 - Proceedings).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
7 Citations (Scopus) -
A 5-day hindcast experiment using a cut cell z-coordinate model
Steppeler, J., Park, S. H. & Dobler, A., 2011 Oct, In: Atmospheric Science Letters. 12, 4, p. 340-344 5 p.Research output: Contribution to journal › Article › peer-review
Open Access7 Citations (Scopus) -
A 5-Gb/s low-power transmitter with voltage-mode output driver in 90nm CMOS technology
Rhim, J. & Choi, W. Y., 2011, 2011 International SoC Design Conference, ISOCC 2011. IEEE Computer Society, p. 231-234 4 p. (2011 International SoC Design Conference, ISOCC 2011).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
5 Citations (Scopus) -
A 5 Gb/s Time-Interleaved Voltage-Mode Duobinary Encoding Scheme for 3-D-Stacked IC
Kim, J. Y., Lee, J., Kim, K., Joo, S., Moon, B. M., Sohn, K. & Jung, S. O., 2022 Jun 1, In: IEEE Journal of Solid-State Circuits. 57, 6, p. 1913-1923 11 p.Research output: Contribution to journal › Article › peer-review
1 Citation (Scopus) -
A 5GHz transformer-coupled CMOS VCO using bias-level shifting technique
Song, T., Ko, S., Cho, D. H., Oh, H. S., Chung, C. & Yoon, E., 2004, p. 127-130. 4 p.Research output: Contribution to conference › Paper › peer-review
2 Citations (Scopus) -
A 5-year retrospective cohort study of denosumab induced medication related osteonecrosis of the jaw in osteoporosis patients
Jung, S., Kim, J., Park, J. H., Kim, K. Y., Kim, H. J. & Park, W., 2022 Dec, In: Scientific reports. 12, 1, 8641.Research output: Contribution to journal › Article › peer-review
Open Access3 Citations (Scopus) -
A 6.24-Gb/s wide-input-range serializer ASIC using fixed-data-rate scheme
Park, K. Y., Choi, W. Y., Lee, S. Y. & Oh, W. S., 2012, p. 1704-1707. 4 p.Research output: Contribution to conference › Paper › peer-review
2 Citations (Scopus) -
A 6.3μW 20b incremental zoom-ADC with 6ppm INL and 1μV offset
Chae, Y., Souri, K. & Makinwa, K. A. A., 2013, 2013 IEEE International Solid-State Circuits Conference, ISSCC 2013 - Digest of Technical Papers. p. 276-277 2 p. 6487733. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 56).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
19 Citations (Scopus) -
A 6.3 μw 20 bit incremental zoom-ADC with 6 ppm INL and 1 μv offset
Chae, Y., Souri, K. & Makinwa, K. A. A., 2013 Dec, In: IEEE Journal of Solid-State Circuits. 48, 12, p. 3019-3027 9 p., 6587137.Research output: Contribution to journal › Article › peer-review
117 Citations (Scopus) -
A 6.4-to-32Gb/s 0.96pJ/b Referenceless CDR Employing ML-Inspired Stochastic Phase-Frequency Detection Technique in 40nm CMOS
Park, K., Shim, M., Ko, H. G. & Jeong, D. K., 2020 Feb, 2020 IEEE International Solid-State Circuits Conference, ISSCC 2020. Institute of Electrical and Electronics Engineers Inc., p. 124-126 3 p. 9063010. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 2020-February).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
18 Citations (Scopus) -
A 6.5μW 10kHz-BW 80.4dB-SNDR Continuous-Time ΔΣ Modulator with Gm-Input and 300mVpp Linear Input Range for Closed-Loop Neural Recording
Lee, C., Jeon, T., Jane, M., Park, S., Huh, Y. & Chae, Y., 2020 Feb, 2020 IEEE International Solid-State Circuits Conference, ISSCC 2020. Institute of Electrical and Electronics Engineers Inc., p. 410-412 3 p. 9063074. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 2020-February).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
17 Citations (Scopus) -
A 6.5-μW 10-kHz BW 80.4-dB SNDR Gm-C-Based CT ∑ Modulator with a Feedback-Assisted GmLinearization for Artifact-Tolerant Neural Recording
Lee, C., Jeon, T., Jang, M., Park, S., Kim, J., Lim, J., Ahn, J. H., Huh, Y. & Chae, Y., 2020 Nov, In: IEEE Journal of Solid-State Circuits. 55, 11, p. 2889-2901 13 p., 9186294.Research output: Contribution to journal › Article › peer-review
27 Citations (Scopus) -
A 6.7-11.2 Gb/s, 2.25 pJ/bit, Single-Loop Referenceless CDR with Multi-Phase, Oversampling PFD in 65-nm CMOS
Park, K., Bae, W., Lee, J., Hwang, J. & Jeong, D. K., 2018 Oct, In: IEEE Journal of Solid-State Circuits. 53, 10, p. 2982-2993 12 p., 8453909.Research output: Contribution to journal › Article › peer-review
27 Citations (Scopus) -
A 6.75 mW + 12.45 dBm IIP3 1.76 dB NF 0.9 GHz CMOS LNA employing multiple gated transistors with bulk-bias control
Jin, T. H. & Kim, T. W., 2011 Nov, In: IEEE Microwave and Wireless Components Letters. 21, 11, p. 616-618 3 p., 6034540.Research output: Contribution to journal › Article › peer-review
18 Citations (Scopus) -
A 6.9mW 120fps 28×50 capacitive touch sensor for 1mm-φ stylus using current-driven ΔΣ ADCs
Hwang, H., Lee, H. & Chae, Y., 2018 Feb 20, ASP-DAC 2018 - 23rd Asia and South Pacific Design Automation Conference, Proceedings. Institute of Electrical and Electronics Engineers Inc., p. 305-306 2 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 2018-January).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 6.9mW 120fps 28×50 capacitive touch sensor with 41.7dB SNR for 1mm stylus using current-driven ?S ADCs
Hwang, H., Lee, H., Kim, H. & Chae, Y., 2017 Mar 2, 2017 IEEE International Solid-State Circuits Conference, ISSCC 2017. Fujino, L. C. (ed.). Institute of Electrical and Electronics Engineers Inc., p. 170-171 2 p. 7870315. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 60).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
13 Citations (Scopus) -
A 6.9-μm23.26-ns 31.25-fj Robust Level Shifter with Wide Voltage and Frequency Ranges
Kim, K., Kim, J. Y., Moon, B. M. & Jung, S. O., 2021 Apr, In: IEEE Transactions on Circuits and Systems II: Express Briefs. 68, 4, p. 1433-1437 5 p., 9246565.Research output: Contribution to journal › Article › peer-review
4 Citations (Scopus) -
A 60 GHz embedded SIW (Substrate Integrated Waveguide) BPF considering the transition effect
Lee, G. H., Yoo, C. S., Kim, Y. H., Kim, J. Y., Park, Y. H., Yook, J. G. & Kim, J. C., 2009, APMC 2009 - Asia Pacific Microwave Conference 2009. p. 1192-1195 4 p. 5384419. (APMC 2009 - Asia Pacific Microwave Conference 2009).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
9 Citations (Scopus) -
A 60 GHz rotman lens on a silicon wafer for system-on-a-chip and system-in-package applications
Lee, W., Kim, J., Cho, C. S. & Yoon, Y. J., 2009, IMS 2009 - 2009 IEEE MTT-S International Microwave Symposium Digest. p. 1189-1192 4 p. 5165915. (IEEE MTT-S International Microwave Symposium Digest).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
6 Citations (Scopus) -
A 60 Hz uniform electromagnetic field promotes human cell proliferation by decreasing intracellular reactive oxygen species levels
Song, K., Im, S. H., Yoon, Y. J., Kim, H. M., Lee, H. J. & Park, G. S., 2018 Jul, In: PloS one. 13, 7, e0199753.Research output: Contribution to journal › Article › peer-review
Open Access34 Citations (Scopus) -
A 622Mb/s BPSK demodulator with mixed-mode demodulation scheme
Kim, D., Seo, Y. K., Kim, H. & Choi, W. Y., 2007, 2007 IEEE Asian Solid-State Circuits Conference, A-SSCC. p. 288-291 4 p. 4425687. (2007 IEEE Asian Solid-State Circuits Conference, A-SSCC).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 622-Mb/s mixed-mode BPSK demodulator using a half-rate bang-bang phase detector
Kim, D., Choi, K. C., Seo, Y. K., Kim, H. & Choi, W. Y., 2008 Oct, In: IEEE Journal of Solid-State Circuits. 43, 10, p. 2284-2292 9 p., 4639541.Research output: Contribution to journal › Article › peer-review
5 Citations (Scopus) -
A 63-year-old male with marked eosinophilia and dyspnoea on exertion
Kim, S. H., Nawa, Y., Kim, H. Y., Kwon, W., Yong, S. J. & Jung, S. H., 2009 Sept, In: Thorax. 64, 9, p. 756+777Research output: Contribution to journal › Article › peer-review
Open Access -
A 64×64 APD-Based ToF Image Sensor with Background Light Suppression up to 200 klx Using In-Pixel Auto-Zeroing and Chopping
Park, B., Park, I., Choi, W. & Chae, Y., 2019 Jun, 2019 Symposium on VLSI Circuits, VLSI Circuits 2019 - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., p. C256-C257 8778015. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers; vol. 2019-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
9 Citations (Scopus) -
A 64 × 64 SPAD-Based Indirect Time-of-Flight Image Sensor with 2-Tap Analog Pulse Counters
Park, B., Park, I., Park, C., Choi, W., Na, Y., Lee, M. J. & Chae, Y., 2021 Oct, In: IEEE Journal of Solid-State Circuits. 56, 10, p. 2956-2967 12 p.Research output: Contribution to journal › Article › peer-review
4 Citations (Scopus) -
A 640×640 Fully Dynamic CMOS Image Sensor for Always-On Object Recognition
Park, I., Jo, W., Park, C., Park, B., Cheon, J. & Chae, Y., 2019 Jun, 2019 Symposium on VLSI Circuits, VLSI Circuits 2019 - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., p. C214-C215 8778169. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers; vol. 2019-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
6 Citations (Scopus) -
A 640 × 640 Fully Dynamic CMOS Image Sensor for Always-On Operation
Park, I., Jo, W., Park, C., Park, B., Cheon, J. & Chae, Y., 2020 Apr, In: IEEE Journal of Solid-State Circuits. 55, 4, p. 898-907 10 p., 8944068.Research output: Contribution to journal › Article › peer-review
26 Citations (Scopus)