Search concepts
|
Selected filters
|
- 650 - 700 out of 98,009 results
Search results
-
A 1.25-Gb/s digitally-controlled dual-loop clock and data recovery circuit with enhanced phase resolution
Seong, C. K., Lee, S. W. & Choi, W. Y., 2006, ISCAS 2006: 2006 IEEE International Symposium on Circuits and Systems, Proceedings. p. 2113-2116 4 p. 1693034. (Proceedings - IEEE International Symposium on Circuits and Systems).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
3 Citations (Scopus) -
A 1.25-Gb/s digitally-controlled dual-loop clock and data recovery circuit with enhanced phase resolution
Seong, C. K., Lee, S. W. & Choi, W. Y., 2007 Jan, In: IEICE Transactions on Electronics. E90-C, 1, p. 165-169 5 p.Research output: Contribution to journal › Article › peer-review
-
A 1.2 V, 0.87-3.7 GHz wideband low-noise mixer using a current mirror for multiband application
Na, D. H. & Kim, T. W., 2012 Feb, In: IEEE Microwave and Wireless Components Letters. 22, 2, p. 91-93 3 p., 6140599.Research output: Contribution to journal › Article › peer-review
27 Citations (Scopus) -
A 1.2V 5.2mW 40dB 2.5Gb/s limiting amplifier in 0.18μm CMOS using negative-impedance compensation
Yoo, K., Lee, D., Han, G., Park, S. M. & Oh, W. S., 2007, 2007 IEEE International Solid-State Circuits Conference, ISSCC - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., p. 56-57 2 p. 4242262. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
12 Citations (Scopus) -
A 1.2V 68μW 98.2DB-DR Audio Continuous-Time Delta-Sigma Modulator
Lee, C., Jang, M. H. & Chae, Y., 2018 Oct 22, 2018 IEEE Symposium on VLSI Circuits, VLSI Circuits 2018. Institute of Electrical and Electronics Engineers Inc., p. 199-200 2 p. 8502318. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers; vol. 2018-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
12 Citations (Scopus) -
A 1.2-V 8.3-nJ CMOS humidity sensor for RFID applications
Tan, Z., Daamen, R., Humbert, A., Ponomarev, Y. V., Chae, Y. & Pertijs, M. A. P., 2013, In: IEEE Journal of Solid-State Circuits. 48, 10, p. 2469-2477 9 p., 6584794.Research output: Contribution to journal › Article › peer-review
113 Citations (Scopus) -
A 1.2V 8.3nJ energy-efficient CMOS humidity sensor for RFID applications
Tan, Z., Chae, Y., Daamen, R., Humbert, A., Ponomarev, Y. V. & Pertijs, M. A. P., 2012, 2012 Symposium on VLSI Circuits, VLSIC 2012. p. 24-25 2 p. 6243771. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
23 Citations (Scopus) -
A 1.35 m Long 0.18 gf Resolution Differential Capacitive Force Sensor for Contact Force Monitoring
Jeon, S., Lee, J., Ryu, W. & Chae, Y., 2019 Feb 22, Proceedings of TENCON 2018 - 2018 IEEE Region 10 Conference. Institute of Electrical and Electronics Engineers Inc., p. 889-892 4 p. 8650386. (IEEE Region 10 Annual International Conference, Proceedings/TENCON; vol. 2018-October).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 1.36μW adaptive CMOS image sensor with reconfigurable modes of operation from available energy/illumination for distributed wireless sensor network
Choi, J., Park, S., Cho, J. & Yoon, E., 2012, 2012 IEEE International Solid-State Circuits Conference, ISSCC 2012 - Digest of Technical Papers. p. 112-113 2 p. 6176897. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 55).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
11 Citations (Scopus) -
A 1.5V 120nW CMOS programmable monolithic reference generator for wireless implantable system
Chang, S. I., Alashmouny, K. & Yoon, E., 2011, 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS 2011. p. 2981-2984 4 p. 6090818. (Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
4 Citations (Scopus) -
A 1.5 v 2 GS/s 82.1 dB-SFDR Track and Hold Circuit Based on the Time-Divided Post-Distortion Cancelation Technique
Jang, J., Chae, Y. & Kim, T. W., 2022 Dec 1, In: IEEE Transactions on Circuits and Systems II: Express Briefs. 69, 12, p. 4719-4723 5 p.Research output: Contribution to journal › Article › peer-review
-
A 1.5V mixed signal biomedical SoC for implantable cardioverter defibrillators
Kim, K., Cho, U., Lim, S., Chae, Y., Jung, Y., Han, G. & Kim, J., 2007, Proceedings - 20th Anniversary IEEE International SOC Conference. p. 7-10 4 p. 4545414. (Proceedings - 20th Anniversary IEEE International SOC Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 1.8-3.2-GHz fully differential GaAs MESFET PLL
Cheung, T. S., Lee, B. C., Choi, E. C. & Choi, W. Y., 2001, In: IEEE Journal of Solid-State Circuits. 36, 4, p. 605-610 6 p.Research output: Contribution to journal › Article › peer-review
2 Citations (Scopus) -
A 1.8V 11μW CMOS smart humidity sensor for RFID sensing applications
Tan, Z., Daamen, R., Humbert, A., Souri, K., Chae, Y., Ponomarev, Y. V. & Pertijs, M. A. P., 2011, 2011 Proceedings of Technical Papers: IEEE Asian Solid-State Circuits Conference 2011, A-SSCC 2011. p. 105-108 4 p. 6123615. (2011 Proceedings of Technical Papers: IEEE Asian Solid-State Circuits Conference 2011, A-SSCC 2011).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
32 Citations (Scopus) -
A 1.8-V 128-Mb mobile DRAM with double boosting pump, hybrid current sense amplifier, and dual-referenced adjustment scheme for temperature sensor
Sim, J. Y., Yoon, H., Chun, K. C., Lee, H. S., Hong, S. P., Lee, K. C., Yoo, J. H., Seo, D. I. & Cho, S. I., 2003 Apr, In: IEEE Journal of Solid-State Circuits. 38, 4, p. 631-640 10 p.Research output: Contribution to journal › Article › peer-review
20 Citations (Scopus) -
A 1.8 V 128 Mb mobile DRAM with hidden-precharged triple pumping scheme and dual-path hybrid current sense amplifier
Chun, K. C., Sim, J. Y., Yoon, H., Lee, H. S., Hong, S. P., Lee, K. C., Yoo, J. H. & Seo, D. I., 2004 Feb, In: Current Applied Physics. 4, 1, p. 25-29 5 p.Research output: Contribution to journal › Article › peer-review
-
A 1.8-V 6.9-mW 120-fps 50-Channel Capacitive Touch Readout with Current Conveyor AFE and Current-Driven Δ Σ ADC
Hwang, H., Lee, H., Han, M., Kim, H. & Chae, Y., 2018 Jan, In: IEEE Journal of Solid-State Circuits. 53, 1, p. 204-218 15 p., 8051257.Research output: Contribution to journal › Article › peer-review
35 Citations (Scopus) -
A 1.9-mm-Precision 20-GHz Direct-Sampling Receiver Using Time-Extension Method for Indoor Localization
Han, H. G., Yu, B. G. & Kim, T. W., 2017 Jun, In: IEEE Journal of Solid-State Circuits. 52, 6, p. 1509-1520 12 p., 7933182.Research output: Contribution to journal › Article › peer-review
9 Citations (Scopus) -
A 1.9mm-precision 20GS/S real-time sampling receiver using time-extension method for indoor localization
Han, H. G., Yu, B. G. & Kim, T. W., 2015 Mar 17, 2015 IEEE International Solid-State Circuits Conference, ISSCC 2015 - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., p. 352-353 2 p. 7063071. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 58).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
16 Citations (Scopus) -
A 1 + 1 Protection Architecture for Optical Burst Switched Networks
Griffith, D. & Lee, S. K., 2003 Nov, In: IEEE Journal on Selected Areas in Communications. 21, 9, p. 1384-1398 15 p.Research output: Contribution to journal › Article › peer-review
32 Citations (Scopus) -
A 100mW dual-band CMOS mobile-TV tuner IC for T-DMB/DAB and ISDB-T
Kim, B., Kim, T. W., Cho, Y., Jeong, M. S., Kim, S., Yoo, H., Moon, S. M., Lee, T. J., Lim, J. K. & Kim, B., 2006, 2006 IEEE International Solid-State Circuits Conference, ISSCC - Digest of Technical Papers. p. 614+605 1696318. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
12 Citations (Scopus) -
A 100 nm copper/low-K bulk CMOS technology with multi Vt and multi gate oxide integrated transistors for low standby power, high performance and RF/analog system on chip applications
Yeap, G. C. F., Chen, J., Grudowski, P., Jeon, Y., Shiho, Y., Qi, W., Jallepalli, S., Ramani, N., Hellig, K., Vishnubhotla, L., Luo, T., Tseng, H., Du, Y., Lim, S., Abramowitz, P., Reddy, C., Parihar, S., Singh, R., Wright, M., Patterson, K., & 23 others , 2002, p. 16-17. 2 p.Research output: Contribution to conference › Paper › peer-review
17 Citations (Scopus) -
A 103.8-dB DR 25ps-to-35ns Resolution Time-to-Digital Converter with Dynamic Ring Oscillator for LiDAR Applications
Kim, T., Lee, S. & Chae, Y., 2022, 2022 IEEE Asian Solid-State Circuits Conference, A-SSCC 2022 - Proceedings. Institute of Electrical and Electronics Engineers Inc., (2022 IEEE Asian Solid-State Circuits Conference, A-SSCC 2022 - Proceedings).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 1050-GHz CMOS distributed step attenuator with low loss and low phase imbalance
Min, B. W. & Rebeiz, G. M., 2007 Nov, In: IEEE Journal of Solid-State Circuits. 42, 11, p. 2547-2554 8 p., 4362104.Research output: Contribution to journal › Article › peer-review
79 Citations (Scopus) -
A 10-Gb/s, 0.03-mm2, 1.28-pJ/bit Half-Rate Injection-Locked CDR with Path Mismatch Tracking Loop in a 28-nm CMOS Technology
Choo, M. S., Park, K., Ko, H. G., Cho, S. Y., Lee, K. & Jeong, D. K., 2019 Oct, In: IEEE Journal of Solid-State Circuits. 54, 10, p. 2812-2822 11 p., 8781914.Research output: Contribution to journal › Article › peer-review
1 Citation (Scopus) -
A 10-Gb/s adaptive look-ahead decision feedback equalizer with an eye-opening monitor
Seong, C. K., Rhim, J. & Choi, W. Y., 2012 Apr, In: IEEE Transactions on Circuits and Systems II: Express Briefs. 59, 4, p. 209-213 5 p., 6156431.Research output: Contribution to journal › Article › peer-review
14 Citations (Scopus) -
A 10 Gb/s hybrid PLL-based forwarded clock receiver in 65-nm CMOS
Park, K., Bae, W., Ju, H., Lee, J., Jeong, G. S., Kim, Y. & Jeong, D. K., 2015 Jul 27, 2015 IEEE International Symposium on Circuits and Systems, ISCAS 2015. Institute of Electrical and Electronics Engineers Inc., p. 2389-2392 4 p. 7169165. (Proceedings - IEEE International Symposium on Circuits and Systems; vol. 2015-July).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
3 Citations (Scopus) -
A10-Gb/s low-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram
Kim, W. S. & Choi, W. Y., 2013, In: ieice electronics express. 10, 4, 20130030.Research output: Contribution to journal › Article › peer-review
Open Access7 Citations (Scopus) -
A 10-Gb/s multiphase clock and data recovery circuit with a rotational bang-bang phase detector
Kwon, D. H., Rhim, J. & Choi, W. Y., 2016 Jun, In: Journal of Semiconductor Technology and Science. 16, 3, p. 287-292 6 p.Research output: Contribution to journal › Article › peer-review
-
A 10 Gb/s PAM-4 Transmitter with Feed-Forward Implementation of Tomlinson-Harashima Precoding in 28 nm CMOS
Kang, B., Jeong, G. S., Hwang, J., Park, K., Do, H., Kim, H., Ko, H. G., Choi, M. C. & Jeong, D. K., 2021, In: IEEE Access. 9, p. 156789-156798 10 p.Research output: Contribution to journal › Article › peer-review
Open Access2 Citations (Scopus) -
A 10-Gb/s power and area efficient clock and data recovery circuit in 65-nm CMOS technology
Rhim, J., Choi, K. C. & Choi, W. Y., 2012, ISOCC 2012 - 2012 International SoC Design Conference. p. 104-107 4 p. 6407050. (ISOCC 2012 - 2012 International SoC Design Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Citations (Scopus) -
A 10-Gb/s trans-impedance amplifier with LC-ladder input configuration
Park, K. Y., Oh, W. S. & Choi, W. Y., 2010, In: ieice electronics express. 7, 16, p. 1201-1206 6 p.Research output: Contribution to journal › Article › peer-review
Open Access1 Citation (Scopus) -
A 10T-4MTJ Nonvolatile Ternary CAM Cell for Reliable Search Operation and a Compact Area
Song, B., Na, T., Kim, J. P., Kang, S. H. & Jung, S. O., 2017 Jun, In: IEEE Transactions on Circuits and Systems II: Express Briefs. 64, 6, p. 700-729 30 p., 7523965.Research output: Contribution to journal › Article › peer-review
32 Citations (Scopus) -
A 12,800-well single-cell-derived sphere assay chip utilizing highly-parallel microfluidic structures for cancer heterogeneity studies
Cheng, Y. H., Chen, Y. C., Brien, R. & Yoon, E., 2016, 20th International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2016. Chemical and Biological Microsystems Society, p. 238-239 2 p. (20th International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2016).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 12.5-Gb/s sige BiCMOS optical receiver with a monolithically integrated 850-nm avalanche photodetector
Youn, J. S., Lee, M. J., Park, K. Y., Rücker, H. & Choi, W. Y., 2012, Optical Fiber Communication Conference, OFC 2012. (Optics InfoBase Conference Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 12-month single arm pilot study to evaluate the efficacy and safety of sirolimus in combination with tacrolimus in kidney transplant recipients at high immunologic risk
Lee, J., Lee, J. J., Kim, B. S., Lee, J. G., Huh, K. H., Park, Y. & Kim, Y. S., 2015, In: Journal of Korean medical science. 30, 6, p. 682-687 6 p.Research output: Contribution to journal › Article › peer-review
Open Access3 Citations (Scopus) -
A 134μW 24kHz-BW 103.5d8-DR CT ΔΣ Modulator with Chopped Negative-R and Tri-Level FIR DAC
Jang, M., Lee, C. & Chae, Y., 2020 Feb, 2020 IEEE International Solid-State Circuits Conference, ISSCC 2020. Institute of Electrical and Electronics Engineers Inc., p. 1-3 3 p. 9062904. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 2020-February).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
12 Citations (Scopus) -
A 134-μW 99.4-dB SNDR Audio Continuous-Time Delta-Sigma Modulator with Chopped Negative-R and Tri-Level FIR-DAC
Jang, M., Lee, C. & Chae, Y., 2021 Jun, In: IEEE Journal of Solid-State Circuits. 56, 6, p. 1761-1771 11 p., 9252136.Research output: Contribution to journal › Article › peer-review
7 Citations (Scopus) -
A 13 dB IIP3 improved low-power CMOS RF programmable gain amplifier using differential circuit transconductance linearization for various terrestrial mobile D-TV applications
Kim, T. W., Kim, B., Cho, Y., Kim, B. & Lee, K., 2005, p. 344-347. 4 p.Research output: Contribution to conference › Paper › peer-review
4 Citations (Scopus) -
A 13-dB IIP3 improved low-power CMOS RF programmable gain amplifier using differential circuit transconductance linearization for various terrestrial mobile D-TV applications
Kim, T. W. & Kim, B., 2006 Apr, In: IEEE Journal of Solid-State Circuits. 41, 4, p. 945-953 9 p.Research output: Contribution to journal › Article › peer-review
92 Citations (Scopus) -
A 13GHz CMOS distributed oscillator using MEMS coupled transmission lines for low phase noise
Park, E. C. & Yoon, E., 2004, In: Digest of Technical Papers - IEEE International Solid-State Circuits Conference. 47, p. 300-301+285+530Research output: Contribution to journal › Conference article › peer-review
2 Citations (Scopus) -
A 13GHZ CMOS distributed oscillator using MEMS coupled transmission lines for low phase noise
Park, E. C. & Yoon, E., 2003, In: Digest of Technical Papers - IEEE International Solid-State Circuits Conference. 47, p. 244-245 2 p.Research output: Contribution to journal › Conference article › peer-review
-
A 14-nm Low Voltage SRAM with Charge-Recycling and Charge Self-Saving Techniques for Low-Power Applications
Cho, K., Kim, G., Oh, J., Kim, K., Sim, C., Bae, Y., Kim, M., Baeck, S., Song, T. & Jung, S. O., 2022, 2022 IEEE Symposium on VLSI Technology and Circuits, VLSI Technology and Circuits 2022. Institute of Electrical and Electronics Engineers Inc., p. 214-215 2 p. (Digest of Technical Papers - Symposium on VLSI Technology; vol. 2022-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 150-Mb/s CMOS monolithic optical receiver for plastic optical fiber link
Park, K. Y., Oh, W. S., Ham, K. S. & Choi, W. Y., 2012 Mar, In: Journal of the Optical Society of Korea. 16, 1, p. 1-5 5 p.Research output: Contribution to journal › Article › peer-review
Open Access -
A 15-GHz, 17.8-mW, 213-fs Injection-Locked PLL with Maximized Injection Strength Using Adjustment of Phase Domain Response
Choo, M. S., Song, Y., Cho, S. Y., Ko, H. G., Park, K. & Jeong, D. K., 2019 Dec, In: IEEE Transactions on Circuits and Systems II: Express Briefs. 66, 12, p. 1932-1936 5 p., 8883248.Research output: Contribution to journal › Article › peer-review
5 Citations (Scopus) -
A 15-year follow up of an orthodontic treatment including a lower incisor extraction and keeping the maxillary canine-premolar transposition
Hwang, S., Kim, I., Jang, W., Choi, Y. J., Chung, C. J. & Kim, K. H., 2019, In: Angle Orthodontist. 89, 5, p. 812-826 15 p.Research output: Contribution to journal › Article › peer-review
Open Access6 Citations (Scopus) -
A 15-year warranty period for asymptomatic individuals without coronary artery calcium: A prospective follow-up of 9,715 individuals
Valenti, V., Ó Hartaigh, B., Heo, R., Cho, I., Schulman-Marcus, J., Gransar, H., Truong, Q. A., Shaw, L. J., Knapper, J., Kelkar, A. A., Sandesara, P., Lin, F. Y., Sciarretta, S., Chang, H. J., Callister, T. Q. & Min, J. K., 2015 Aug 1, In: JACC: Cardiovascular Imaging. 8, 8, p. 900-909 10 p.Research output: Contribution to journal › Article › peer-review
Open Access163 Citations (Scopus) -
A 16-site neural probe integrated with a waveguide for optical stimulation
Cho, I. J., Baac, H. W. & Yoon, E., 2010, MEMS 2010 - The 23rd IEEE International Conference on Micro Electro Mechanical Systems, Technical Digest. p. 995-998 4 p. 5442376. (Proceedings of the IEEE International Conference on Micro Electro Mechanical Systems (MEMS)).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
21 Citations (Scopus) -
A 16-year experience with 1275 primary living donor kidney transplants: Univariate and multivariate analysis of risk factors affecting graft survival
Park, K., Kim, Y. S., Kim, M. S., Kim, S. I., Oh, C. K., Han, D. S., Lee, H. Y., Choi, K. H. & Kim, P. K., 1996, In: Transplantation Proceedings. 28, 3, p. 1578-1579 2 p.Research output: Contribution to journal › Article › peer-review
1 Citation (Scopus) -
A 185 μw -105.1 dB THD 88.6 dB SNDR Negative-R Stabilized Audio Preamplifier
Song, S., Lee, C., Jang, M. & Chae, Y., 2019 Sept, ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference. Institute of Electrical and Electronics Engineers Inc., p. 261-264 4 p. 8902846. (ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
5 Citations (Scopus)